Для установки нажмите кнопочку Установить расширение. И это всё.

Исходный код расширения WIKI 2 регулярно проверяется специалистами Mozilla Foundation, Google и Apple. Вы также можете это сделать в любой момент.

4,5
Келли Слэйтон
Мои поздравления с отличным проектом... что за великолепная идея!
Александр Григорьевский
Я использую WIKI 2 каждый день
и почти забыл как выглядит оригинальная Википедия.
Статистика
На русском, статей
Улучшено за 24 ч.
Добавлено за 24 ч.
Альтернативы
Недавние
Show all languages
Что мы делаем. Каждая страница проходит через несколько сотен совершенствующих техник. Совершенно та же Википедия. Только лучше.
.
Лео
Ньютон
Яркие
Мягкие

Из Википедии — свободной энциклопедии

3 nm (рус. 3 нм)[прояснить] — в производстве полупроводников 3-нм процесс является следующей ступенью миниатюризации техпроцесса после 5-нанометрового. По состоянию на 2022 год тайваньский производитель микросхем TSMC планирует запустить в серийное производство 3-нм полупроводниковый узел под названием N3 ко второй половине 2022 года.[1][2] Усовершенствованный 3-нм процесс производства микросхем под названием N3e может начаться в 2023 году.[3] Южнокорейский производитель микросхем Samsung официально ориентируется на те же сроки, что и TSMC (по состоянию на май 2022 года), с началом производства 3 нм в первой половине 2022 года с использованием технологии 3GAE и 3-нм техпроцессом 2-го поколения (3GAP), который последует в 2023 году[4][5], в то время как, согласно другим источникам, 3-нм технологический процесс Samsung дебютирует в 2024 году.[6] Американский производитель Intel планирует начать 3-нм производство в 2023.[7][8][9]

3-нм технологический процесс Samsung основан на технологии GAAFET (gate-all-around field-effect transistor), процесс TSMC по-прежнему будет использовать технологию FinFET (fin field-effect transistor)[10] несмотря на то, что TSMC разработала GAAFET-транзистор.[11] В частности, Samsung планирует использовать свой собственный вариант GAAFET под названием MBCFET (многомостовый полевой транзистор).[12] 3-нм техпроцесс Intel (получивший название «Intel 3» без суффикса «nm») будет использовать усовершенствованную, улучшенную и оптимизированную версию технологии FinFET: с увеличенной производительностью на ватт, использованием EUV-литографии, увеличением мощности микросхемы и её площади.[13]

Термин «3 нанометра» не имеет отношения к физической характеристике транзисторов (такой, как длина затвора, шаг металлических проводников или шаг затвора). Согласно прогнозам, содержащимся в обновлении Международной плане для устройств и систем на 2021 год, опубликованном Ассоциацией стандартов IEEE Industry Connection, ожидается, что 3-нанометровый узел будет иметь шаг контактного затвора 48 нанометров и максимально плотный шаг металла (минимальное расстояние между двумя горизонтальными соединениями) 24 нанометра.[14] Однако в реальной коммерческой практике «3 нм» используется в основном как маркетинговый термин отдельными производителями микросхем для обозначения нового улучшенного поколения кремниевых полупроводниковых чипов с увеличением плотности транзисторов (то есть большей степени миниатюризации), увеличением скорости и снижением энергопотребления.[15][16] Более того, нет единого соглашения о том, какие числа считать 3-нм процессом. Обычно производитель ссылается на свой предыдущий технологический узел (в данном случае на 5-нм технологический узел) для сравнения. Например, TSMC заявила, что ее 3-нм чипы FinFET снизят энергопотребление на 25-30 % при той же скорости, увеличат скорость на 10-15 % при той же мощности и увеличат плотность транзисторов примерно на 33 % по сравнению с предыдущими 5-нм чипами FinFET.[17][18] Samsung заявила, что ее 3-нм техпроцесс снизит энергопотребление на 45 %, улучшит производительность на 23 % и уменьшит площадь поверхности на 16 % по сравнению с предыдущим 5-нм техпроцессом.[19]

EUV продолжает использоваться в 3 нм, однако требует мультипаттернинга.[20]

История

Демонстрации исследований и технологий

В 1985 году исследовательская группа Nippon Telegraph and Telephone (NTT) изготовила устройство MOSFET (NMOS) с длиной канала 150 нм и толщиной оксида затвора 2,5 нм.[21] В 1998 году исследовательская группа Advanced Micro Devices (AMD) изготовила устройство MOSFET (NMOS) с каналом длина 50 нм и толщиной оксида 1,3 нм.[22][23]

В 2003 году исследовательская группа NEC изготовила первые МОП-транзисторы с длиной канала 3 нм, используя процессы PMOS и NMOS.[24][25] В 2006 году команда из Корейского передового института науки и технологий (KAIST) и Национального центра нанотехнологий разработала многозатворный MOSFET шириной 3 нм, самое маленькое в мире наноэлектронное устройство, основанное на технологии gate-all-around (GAAFET).[26][27]

Коммерческое применение

В конце 2016 года TSMC объявила о планах строительства завода по производству полупроводниковых узлов 5 нм-3 нм с совместными инвестициями в размере около 15,7 млрд долларов США.[28]

В 2017 году TSMC объявила о начале строительства завода по производству полупроводников 3 нм в научном парке Тайнань на Тайване.[29] TSMC планирует начать массовое производство 3-нм технологического узла в 2023.[30][31][32][33][34]

В начале 2018 года ИМЕК (бельгийский Межвузовский центр микроэлектроники) и компания Cadence заявили, что они подготовили дизайны для 3-нм тестовых чипов с с использованием экстремальной ультрафиолетовой (EUV) и 193-нм иммерсионной литографии.[35]

В начале 2019 года Samsung представила планы по производству 3-нм GAAFET в 2021 году, намереваясь использовать собственную структуру нанолистовых транзисторов MBCFET и обеспечивая увеличение производительности на 35 %, снижение мощности на 50 % и уменьшение площади на 45 % по сравнению с 7 нм.[36][37][38] Дорожная карта Samsung по производству полупроводников также включала продукты с 8, 7, 6, 5 и 4-нм процессами.[39][40]

В декабре 2019 года Intel объявила о планах по производству 3 нм в 2025 году.[41]

В январе 2020 года Samsung объявила о производстве первого в мире прототипа 3-нм GAAFET-процесса и заявила, что планирует массовое производство в 2021 году.[42]

В августе 2020 года TSMC объявила подробности своего 3-нм процесса N3, который является скорее обновлением 5-нм процесса N5.[43] N3 должен обеспечить на 10-15 % (1,10-1,15×) увеличение производительности или на 25-35 % (1,25-1,35×) снижение энергопотребления при увеличении плотности логики в 1,7 раза.

В июне 2022 года на технологическом симпозиуме компания TSMC поделилась подробностями своего технологического процесса N3E, запланированного к массовому производству в 2023 H2 и позволяющего: увеличить плотность логических транзисторов в 1,6 раза, физических транзисторов в 1,3 раза, увеличить производительность на 10-15 % при заявленной мощности или снизить потребление на 30-35 % при той же производительности по сравнению с TSMC N5 v1.0. Заявлена технология FinFLEX, позволяющая смешивать библиотеки с разной высотой дорожки внутри блока. TSMC также представила новые разновидности 3-нм-технологических процессов: высокоплотный вариант N3S, высокопроизводительные варианты N3P и N3X, а также N3RF для радиочастотных приложений.[44][45][46]

В июне 2022 года Samsung начала пробное производство маломощного высокопроизводительного чипа с использованием 3-нм технологического процесса с архитектурой GAA.[47][48] Согласно отраслевым источникам, Qualcomm зарезервировала часть производственных мощностей 3 нм у Samsung.[49]

25 июля 2022 года Samsung отпраздновала первую поставку 3-нм универсальных чипов для китайской компании по добыче криптовалют PanSemi.[50][51][52][53] Было показано, что недавно введенный 3-нм техпроцесс MBCFET обеспечивает на 16 % более высокую плотность транзисторов,[54] на 23 % более высокую производительность или на 45 % меньшую потребляемую мощность по сравнению с неназванным 5-нм техпроцессом.[55] Цели 3-нм техпроцесса второго поколения включают увеличение плотности транзисторов на 35 %,[54] дальнейшее снижение потребляемой мощности до 50 % или повышение производительности на 30 %.[55][54][56]

12 сентября 2023 года компания Apple заявила о использовании 3-нм мобильных процессоров Apple A17 Pro, содержащих 19 миллиардов транзисторов в титановых iPhone 15 Pro[57].

3-нм технологические процессы на рынке

Samsung[4][58][59] TSMC[2] Intel[7]
Название процесса 3GAE 3GAP N3 N3E 3
Тип транзисторов MBCFET MBCFET FinFET FinFET FinFET
Плотность транзисторов (Mтр/мм2) 202,85,[58] 150[59] 195[59] 314,73,[58] 220[46] 180[46] Неизвестно
Размер ячейки SDRAM (мкм2) Неизвестно Неизвестно Неизвестно Неизвестно Неизвестно
Шаг затвора транзистора (нм) 40 Неизвестно 45 Неизвестно Неизвестно
Шаг межсоединения (нм) 32 Неизвестно 22 Неизвестно Неизвестно
Статус производства 2022: опытное производство,[4]

2022: производство,[47] 2022: отгрузка[60]

2023: производство[4] 2021: опытное производство,

2 половина 2022: производство,[2] 1 квартал 2023: коммерческая отгрузка[61]

2023: производство[2] 2023: опытное производство,[7] 2024: производство[62]

Примечания

  1. TSMC Roadmap Update: N3E in 2024, N2 in 2026, Major Changes Incoming. www.anandtech.com. Дата обращения: 9 декабря 2022. Архивировано 9 декабря 2022 года.
  2. 1 2 3 4 3nm Technology - Taiwan Semiconductor Manufacturing Company Limited. web.archive.org (20 апреля 2022). Дата обращения: 9 декабря 2022. Архивировано 20 апреля 2022 года.
  3. Ramish Zafar, Ramish Zafar. TSMC Exceeds 3nm Yield Expectations & Production Can Start Sooner Than Planned (англ.). Wccftech (4 марта 2022). Дата обращения: 9 декабря 2022. Архивировано 16 марта 2022 года.
  4. 1 2 3 4 Samsung Foundry Innovations Power the Future of Big Data, AI/ML and Smart, Connected Devices – Samsung Global Newsroom. web.archive.org (8 апреля 2022). Дата обращения: 9 декабря 2022. Архивировано 8 апреля 2022 года.
  5. Samsung Electronics Announces First Quarter 2022 Results – Samsung Global Newsroom. web.archive.org (10 мая 2022). Дата обращения: 9 декабря 2022. Архивировано 10 мая 2022 года.
  6. btarunr Discuss. Samsung 3 nm GAAFET Node Delayed to 2024 (англ.). TechPowerUp. Дата обращения: 9 декабря 2022. Архивировано 17 декабря 2021 года.
  7. 1 2 3 Dr Ian Cutress. Intel's Process Roadmap to 2025: with 4nm, 3nm, 20A and 18A?! www.anandtech.com. Дата обращения: 9 декабря 2022. Архивировано 3 ноября 2021 года.
  8. Chaim Gartenberg. Intel has a new architecture roadmap and a plan to retake its chipmaking crown in 2025 (англ.). The Verge (26 июля 2021). Дата обращения: 9 декабря 2022. Архивировано 20 декабря 2021 года.
  9. Intel Technology Roadmaps and Milestones (англ.). Intel. Дата обращения: 9 декабря 2022. Архивировано 16 июля 2022 года.
  10. Dr Ian Cutress. Where are my GAA-FETs? TSMC to Stay with FinFET for 3nm. anandtech.com. Дата обращения: 9 декабря 2022. Архивировано 2 сентября 2020 года.
  11. TSMC Plots an Aggressive Course for 3nm Lithography and Beyond - ExtremeTech. www.extremetech.com. Дата обращения: 9 декабря 2022. Архивировано 22 сентября 2020 года.
  12. Nancy Cohen. Samsung at foundry event talks about 3nm, MBCFET developments (англ.). Tech Xplore. Дата обращения: 9 декабря 2022. Архивировано 22 ноября 2021 года.
  13. Patrick Moorhead. Intel Updates IDM 2.0 Strategy With New Node Naming And Transistor And Packaging Technologies (англ.). Forbes. Дата обращения: 9 декабря 2022. Архивировано 8 декабря 2022 года.
  14. IRDS™ 2021: More Moore - IEEE IRDS™. web.archive.org (7 августа 2022). Дата обращения: 9 декабря 2022. Архивировано 7 августа 2022 года.
  15. TSMC’s 7nm, 5nm, and 3nm “are just numbers… it doesn’t matter what the number is” (англ.). PCGamesN. Дата обращения: 9 декабря 2022. Архивировано 17 июня 2020 года.
  16. A Better Way to Measure Progress in Semiconductors (англ.). IEEE Spectrum (21 июля 2020). Дата обращения: 9 декабря 2022. Архивировано 7 декабря 2022 года.
  17. Senior Editor. TSMC details its future 5nm and 3nm manufacturing processes—here’s what it means for Apple silicon (англ.). Macworld. Дата обращения: 9 декабря 2022. Архивировано 20 апреля 2021 года.
  18. Anton Shilov published. The future of leading-edge chips according to TSMC: 5nm, 4nm, 3nm and beyond (англ.). TechRadar (31 августа 2020). Дата обращения: 9 декабря 2022. Архивировано 20 апреля 2021 года.
  19. Samsung Begins Chip Production Using 3nm Process Technology With GAA Architecture (англ.). news.samsung.com. Дата обращения: 9 декабря 2022. Архивировано 8 июля 2022 года.
  20. EUV's Pupil Fill and Resist Limitations at 3nm. web.archive.org (29 июля 2022). Дата обращения: 9 декабря 2022. Архивировано 29 июля 2022 года.
  21. S. Horiguchi, T. Kobayashi, M. Miyake, M. Oda, K. Kiuchi. Extremely high transconductance (above 500 mS/mm) MOSFET with 2.5 nm gate oxide // 1985 International Electron Devices Meeting. — 1985-12. — С. 761–763. — doi:10.1109/IEDM.1985.191088. Архивировано 20 января 2022 года.
  22. Qi Xiang, G. Yeap, D. Bang, Miryeong Song, K. Ahmed, E. Ibok, Ming-Ren Lin. Performance and reliability of sub-100 nm MOSFETs with ultra thin direct tunneling gate oxides // 1998 Symposium on VLSI Technology Digest of Technical Papers (Cat. No.98CH36216). — 1998-06. — С. 160–161. — doi:10.1109/VLSIT.1998.689240. Архивировано 20 января 2022 года.
  23. G.C.-F. Yeap, Q. Xiang, M. Song, K. Ahmed, D. Bang, E. Ibok, M.-R. Lin. Sub-100 nm nMOSFETs with direct tunneling thermal, nitrous and nitric oxides // 56th Annual Device Research Conference Digest (Cat. No.98TH8373). — 1998-06. — С. 10–11. — doi:10.1109/DRC.1998.731099. Архивировано 21 января 2022 года.
  24. Frank Schwierz, Hei Wong, Juin J. Liou. Nanometer CMOS. — Pan Stanford Publishing, 2010-02-28. — 349 с. — ISBN 978-981-4241-08-3.
  25. H. Wakabayashi, S. Yamagami, N. Ikezawa, A. Ogura, M. Narihiro, K. Arai, Y. Ochiai, K. Takeuchi, T. Yamamoto, T. Mogami. Sub-10-nm planar-bulk-CMOS devices using lateral junction control // IEEE International Electron Devices Meeting 2003. — 2003-12. — С. 20.7.1–20.7.3. — doi:10.1109/IEDM.2003.1269446. Архивировано 22 ноября 2022 года.
  26. Still Room at the Bottom.(nanometer transistor developed by Yang-kyu Choi from the Korea Advanced Institute of Science and Technology ) - Nanoparticle News | HighBeam Research. web.archive.org (6 ноября 2012). Дата обращения: 9 декабря 2022. Архивировано из оригинала 6 ноября 2012 года.
  27. H. Lee, L.-E. Yu, S.-W. Ryu, J.-W. Han, K. Jeon, D.-Y. Jang, K.-H. Kim, J. Lee, J.-H. Kim, S. Jeon, G. Lee, J. Oh, Y. Park, W. Bae, H. Lee, J. Yang, J. Yoo, S. Kim, Y.-K. Choi. Sub-5nm All-Around Gate FinFET for Ultimate Scaling // 2006 Symposium on VLSI Technology, 2006. Digest of Technical Papers.. — 2006-06. — С. 58–59. — doi:10.1109/VLSIT.2006.1705215. Архивировано 27 октября 2022 года.
  28. TSMC Plans New Fab for 3nm | EE Times. web.archive.org (1 января 2019). Дата обращения: 9 декабря 2022. Архивировано 1 января 2019 года.
  29. TSMC Aims to Build World's First 3-nm Fab. EE Times (28 июля 2019). Дата обращения: 9 декабря 2022. Архивировано 28 июля 2019 года.
  30. Ramish Zafar, Ramish Zafar. TSMC To Commence 2nm Research In Hsinchu, Taiwan Claims Report (англ.). Wccftech (12 июня 2019). Дата обращения: 9 декабря 2022. Архивировано 7 ноября 2020 года.
  31. TSMC to start production on 5nm in second half of 2020, 3nm in 2022 (англ.). TechSpot. Дата обращения: 9 декабря 2022. Архивировано 19 декабря 2019 года.
  32. Lucian Armasu published. Report: TSMC To Start 3nm Volume Production In 2022 (англ.). Tom's Hardware (6 декабря 2019). Дата обращения: 9 декабря 2022. Архивировано 15 сентября 2022 года.
  33. Efe Udin. TSMC 3nm process fab starts construction - mass production in 2023 (англ.). Gizchina.com (25 октября 2019). Дата обращения: 9 декабря 2022. Архивировано 12 января 2020 года.
  34. Alan Friedman. TSMC starts constructing facilities to turn out 3nm chips by 2023 (англ.). Phone Arena. Дата обращения: 9 декабря 2022. Архивировано 12 января 2020 года.
  35. Imec and Cadence Tape Out Industry's First 3nm Test Chip. web.archive.org (18 апреля 2019). Дата обращения: 9 декабря 2022. Архивировано 18 апреля 2019 года.
  36. Samsung Unveils 3nm Gate-All-Around Design Tools - ExtremeTech. www.extremetech.com. Дата обращения: 10 декабря 2022. Архивировано 15 сентября 2020 года.
  37. Samsung: 3nm process is one year ahead of TSMC in GAA and three years ahead of Intel. web.archive.org (6 декабря 2019). Дата обращения: 10 декабря 2022. Архивировано 6 декабря 2019 года.
  38. Lucian Armasu published. Samsung Plans Mass Production of 3nm GAAFET Chips in 2021 (англ.). Tom's Hardware (11 января 2019). Дата обращения: 10 декабря 2022. Архивировано 15 сентября 2022 года.
  39. Lucian Armasu published. Samsung Reveals 4nm Process Generation, Full Foundry Roadmap (англ.). Tom's Hardware (25 мая 2017). Дата обращения: 10 декабря 2022. Архивировано 15 сентября 2022 года.
  40. Ian Cutress. Samsung Announces 3nm GAA MBCFET PDK, Version 0.1. www.anandtech.com. Дата обращения: 10 декабря 2022. Архивировано 14 октября 2019 года.
  41. Dr Ian Cutress. Intel’s Manufacturing Roadmap from 2019 to 2029: Back Porting, 7nm, 5nm, 3nm, 2nm, and 1.4 nm. www.anandtech.com. Дата обращения: 10 декабря 2022. Архивировано 12 января 2021 года.
  42. Niels Broekhuijsen published. Samsung Prototypes First Ever 3nm GAAFET Semiconductor (англ.). Tom's Hardware (3 января 2020). Дата обращения: 10 декабря 2022. Архивировано 15 сентября 2022 года.
  43. Anton Shilov. TSMC: 3nm EUV Development Progress Going Well, Early Customers Engaged. www.anandtech.com. Дата обращения: 10 декабря 2022. Архивировано 3 сентября 2020 года.
  44. Tom Dillinger. TSMC 2022 Technology Symposium Review – Process... (англ.). Semiwiki. Дата обращения: 10 декабря 2022. Архивировано 16 октября 2022 года.
  45. TSMC Readies Five 3nm Process Technologies, Adds FinFlex For Design Flexibility. www.anandtech.com. Дата обращения: 10 декабря 2022. Архивировано 10 декабря 2022 года.
  46. 1 2 3 N3E Replaces N3; Comes In Many Flavors (англ.). WikiChip Fuse (4 сентября 2022). Дата обращения: 10 декабря 2022. Архивировано 10 сентября 2022 года.
  47. 1 2 Samsung Begins Chip Production Using 3nm Process Technology With GAA Architecture (англ.). news.samsung.com. Дата обращения: 10 декабря 2022. Архивировано 8 июля 2022 года.
  48. Samsung Starts 3nm Production: The Gate-All-Around (GAAFET) Era Begins. www.anandtech.com. Дата обращения: 10 декабря 2022. Архивировано 8 ноября 2022 года.
  49. 삼성전자, 3나노 파운드리 '시생산' 시작…첫 고객사는 中 ASIC 업체 (кор.). 전자부품 전문 미디어 디일렉 (28 июня 2022). Дата обращения: 10 декабря 2022. Архивировано 10 декабря 2022 года.
  50. SamMobile. Samsung’s 3nm trial production run this week to make Bitcoin miner chips (англ.). SamMobile. Дата обращения: 10 декабря 2022. Архивировано 27 июля 2022 года.
  51. Samsung ships its first set of 3nm chips, marking an important milestone (англ.). SamMobile. Дата обращения: 10 декабря 2022. Архивировано 27 июля 2022 года.
  52. Samsung celebrates the first shipment of 3nm Gate-All-Around chips (англ.). GSMArena.com. Дата обращения: 10 декабря 2022. Архивировано 26 июля 2022 года.
  53. 삼성전자, 3나노 파운드리 양산 출하식 개최 (кор.). news.samsung.com. Дата обращения: 10 декабря 2022. Архивировано 10 декабря 2022 года.
  54. 1 2 3 우재연. Samsung holds ceremony to mark 1st shipment of most advanced 3nm chips (англ.). Yonhap News Agency (25 июля 2022). Дата обращения: 10 декабря 2022. Архивировано 10 декабря 2022 года.
  55. 1 2 Samsung Begins Chip Production Using 3nm Process Technology with GAA Architecture (англ.). www.businesswire.com (30 июня 2022). Дата обращения: 10 декабря 2022. Архивировано 10 декабря 2022 года.
  56. Lee Ji-yoon. Samsung starts shipping world’s first 3nm chips (англ.). The Korea Herald (25 июля 2022). Дата обращения: 10 декабря 2022. Архивировано 27 июля 2022 года.
  57. Apple Event — September 12 (рус.). Дата обращения: 21 сентября 2023. Архивировано 12 сентября 2023 года.
  58. 1 2 3 Scotten Jones. Can TSMC Maintain Their Process Technology Lead (англ.). Semiwiki. Дата обращения: 10 декабря 2022. Архивировано 13 мая 2022 года.
  59. 1 2 3 David Schor. Samsung 3nm GAAFET Enters Risk Production; Discusses Next-Gen Improvements (англ.). WikiChip Fuse (5 июля 2022). Дата обращения: 10 декабря 2022. Архивировано 12 декабря 2022 года.
  60. Alan Friedman. History is made! Samsung beats out TSMC and starts shipping 3nm GAA chipsets (англ.). Phone Arena. Дата обращения: 10 декабря 2022. Архивировано 23 августа 2022 года.
  61. TSMC Earnings Call (англ.). TSMC (15 июля 2022). Дата обращения: 10 декабря 2022. Архивировано 15 июля 2022 года.
  62. Dr Ian Cutress. Intel Discloses Multi-Generation Xeon Scalable Roadmap: New E-Core Only Xeons in 2024. anandtech.com. Дата обращения: 10 декабря 2022. Архивировано 15 марта 2022 года.
Эта страница в последний раз была отредактирована 6 июня 2024 в 20:40.
Как только страница обновилась в Википедии она обновляется в Вики 2.
Обычно почти сразу, изредка в течении часа.
Основа этой страницы находится в Википедии. Текст доступен по лицензии CC BY-SA 3.0 Unported License. Нетекстовые медиаданные доступны под собственными лицензиями. Wikipedia® — зарегистрированный товарный знак организации Wikimedia Foundation, Inc. WIKI 2 является независимой компанией и не аффилирована с Фондом Викимедиа (Wikimedia Foundation).