To install click the Add extension button. That's it.

The source code for the WIKI 2 extension is being checked by specialists of the Mozilla Foundation, Google, and Apple. You could also do it yourself at any point in time.

4,5
Kelly Slayton
Congratulations on this excellent venture… what a great idea!
Alexander Grigorievskiy
I use WIKI 2 every day and almost forgot how the original Wikipedia looks like.
Live Statistics
English Articles
Improved in 24 Hours
Added in 24 Hours
What we do. Every page goes through several hundred of perfecting techniques; in live mode. Quite the same Wikipedia. Just better.
.
Leo
Newton
Brights
Milds

From Wikipedia, the free encyclopedia

Loongson Technology Corporation Limited
Native name
龙芯中科技术有限公司
Company typePublic, Mixed ownership enterprise
SSE: 688047
IndustrySemiconductor technology industry
FoundedApril 2010; 14 years ago (2010-04)
FounderHu Weiwu [zh]
HeadquartersPeople's Republic of China
Loongson Industrial Park, Building 2, Zhongguancun Environmental protection park, Haidian District, Beijing, China
Area served
Global
Key people
Hu Weiwu
(Chairman)
ServicesChip design, motherboard design, operating system and kernel maintenance, important software and library maintenance
Number of employees
More than 400 (estimate)
Websitewww.loongson.cn Edit this at Wikidata
Loongson
General information
Marketed byLoongson Technology, Jiangsu Lemote Tech Co., Ltd, Dawning Information Industry, and others
Designed byInstitute of Computing Technology (ICT), Chinese Academy of Sciences, Loongson Technology, Jiangsu Lemote Tech Co., Ltd
Common manufacturer(s)
Performance
Max. CPU clock rate800 MHz to 2.0 GHz
HyperTransport speeds800 MHz to 3.0 GHz
Architecture and classification
ApplicationDesktop, Server, Supercomputer, Industrial Device, Embedded Device, Aerospace
Technology node180 nm to 12 nm
Microarchitecturesee text
Instruction setMIPS64 (with LoongISA extensions)
LoongArch
Physical specifications
Cores
  • 1–8

Loongson (simplified Chinese: 龙芯; traditional Chinese: 龍芯; pinyin: Lóngxīn; lit. 'Dragon Core')[1] is the name of a family of general-purpose, MIPS architecture-compatible microprocessors, as well as the name of the Chinese fabless company (Loongson Technology) that develops them. The processors are alternately called Godson processors,[2] which is described as its academic name.[3]

YouTube Encyclopedic

  • 1/2
    Views:
    372 372
    655
  • China's Making x86 Processors, But Does It Matter?
  • A side-by-side demo of Open64 and GCC on Loongson

Transcription

History

The Godson processors, based on MIPS architecture, were initially developed at the Institute of Computing Technology (ICT), Chinese Academy of Sciences (CAS).[4] The chief architect was Hu Weiwu [zh].[5] The development of the first Loongson chip was started in 2001.[5][6] The aim of the Godson project was to develop "high performance general-purpose microprocessors in China",[2] and to become technologically self-sufficient as part of the Made in China 2025 plan.[5] The development was supported by funding via the 10th and 11th Five-Year Plans.[7][8]

In 2010 the company was commercialized as a separate entity,[5] and in April 2010 Loongson Technology Corporation Limited was formally established and settled in Zhongguancun, Beijing, China.[citation needed] The company is a public–private partnership between ICT and Beijing-based chip designer BLX IC Design Corporation.[6] BLX itself was a spin-off from ICT, and was founded in 2002 with Jiangsu Zhongyi Group. As Loongson is a fabless designer, STMicroelectronics fabricates and markets the processors.[6][5]

The South China Morning Post reported that since 2020 Loongson has been partnering with UnionTech and Sunway to develop and promote the Debian-Linux-based Deepin operating system in order to reduce China's dependency on Microsoft Windows.[9][10]

In 2021, Loongson filed for an initial public offering on the Shanghai Stock Exchange STAR Market.[5][11] The company was seeking to raise US$500 million.[11] Details from this IPO suggested Loongson had needed RMB 400 million annual funding in its first 10 years of existence and that the company had only broken even in 2015.[12]

In April 2024 Loongson processors got a large boost when a school district in the city of Hebi commenced a trial of 10,000 PCs powered by computers featuring the Loongson 3A5000 processor and the Deepin-based Unity Operating System.[13][14] According to The Register, this trial project is to be used to promote the use of Loongson-and-Linux computers within the Chinese school system, which could potentially result in 50 million Loongson-based computers being sold to Chinese schools every year until 2030.[13]

U.S. sanctions

In March 2023, the United States Department of Commerce added Loongson to the Bureau of Industry and Security's Entity List for acquisition of American technology to support the People's Liberation Army (PLA).[15][16]

Instruction set architectures

MIPS

Loongson began by using the MIPS64 instruction set architecture (ISA). The internal microarchitecture was independently developed by ICT.[citation needed] Early implementations of the family lacked four instructions patented by MIPS Technologies (US4814976A, unaligned load-store) to avoid legal issues.[17][18]

In 2007, a deal was reached by MIPS Technologies and ICT. STMicroelectronics bought a MIPS license for Loongson, and thus the processor can be promoted as MIPS-based or MIPS-compatible instead of MIPS-like.[19][20][21]

In June 2009, ICT licensed the MIPS32 and MIPS64 architectures directly from MIPS Technologies.[22]

In August 2011, Loongson Technology Corp. Ltd. licensed the MIPS32 and MIPS64 architectures from MIPS Technologies, Inc. for continued development of MIPS-based Loongson CPU cores.[23][24]

In January 2024, Loongson won a case over rights to use MIPS architecture.[25]

LoongISA

The Loongson 3A2000 in 2015 saw the adoption of LoongISA 1.0, an expanded instruction set that is a superset of MIPS64 release 2.[26][8] It can be broken down into:

  • LoongEXT, general-purpose extensions, 148 instructions
  • LoongVZ, virtualisation extensions to the "VZ" system introduced in MIPS64 release 5, 5 instructions
  • LoongBT, faster x86 and ARM binary translation, 213 instructions
  • LoongSIMD, formerly LoongMMI (in Loongson 2E/F), for 128-bit SIMD, 1014 instructions
  • MIPS SIMD Architecture (MSA), DSP, and VZ modules from MIPS Release 5

The LoongISA instructions were introduced as part of the GS464E cores.[8] The binary translation instructions have the specific benefit of speeding up Intel x86 CPU emulation at a cost of 5% of the total die area. The new instructions help a QEMU hypervisor translate instructions from x86 to MIPS with only a reported 30% performance penalty.[27][28]

LoongArch

Loongson moved to their own processor instruction set architecture (ISA) in 2021 with the release of the Loongson 3 5000 series.[29][30] A Loongson developer described it as "...a new RISC ISA, which is a bit like MIPS or RISC-V. LoongArch includes a reduced 32-bit version (LA32R), a standard 32-bit version (LA32S) and a 64-bit version (LA64)".[31] The stated rationale was to make Loongson and China not dependent on foreign technology or authorisation to develop their processor capability, whilst not infringing on any technology patents.[32]

The ISA has been referred to as "a fork of MIPS64r6" due to a perceived lack of changes judging from instruction listings.[33][34] The Register reported in November 2021 that LoongArch might combine the best parts of MIPS and RISC-V, along with custom instructions.[35]

Cores

Loongson has three main families of processor cores, some of which are available as IP cores:[36]

  • GS464 series: MIPS64 core with four-way superscalar out-of-order issue.[37] The design originated from the Loongson 2F processor.[8] It was first widely used in the Loongson 3A processor, before also being used in the Loongson 2 series.[37][38]
    • GS464V was first introduced in 2010 with the Godson 3B, and is a GS464 with vector capabilities.[7][39]
    • GS464E is an improved version of the GS464.[40] Development had started in 2012 after shortcomings were found in the GS464 processor.[8] The core has multiple improvements, including larger caches and better branch prediction amongst others, and was better optimised.[8] The core was extended to support LoongISA (in addition to the in addition to MIPS64 R2 architecture).[40]
    • GS464EV is a development of the GS464 series, first used by the 3A4000 processor[41]
    • LA464 is the development of the GS464 to support LoongArch. Whilst the initial core of the 3A5000 was noted to be GS464, due to incompatible instruction sets Loongson renamed the 3A5000 core to LA464 in their documentation in August 2021.[42]
    • LA664 is the architecture for the 3A6000 series processors.

It has been noted by the community that the naming of the Loongson microarchitectures is not consistent, with different products being noted to have the same processor core, even though the instructions sets might not be exactly compatible.[42]

Processor families

Loongson has built 3 processor families from their architectural cores.[28] These are the:[28]

  • Godson-1, for consumer electronics and embedded applications
  • Godson-2, single core processors for embedded applications and low performance personal computers[note 1]
  • Godson-3, multi-core processors for higher performance computers, high-performance computing and servers[note 2]

Godson-1

The first Loongson processor, the Godson-1, was designed in 2001, released in 2002, and is a 32-bit CPU running at a clock speed of 266 MHz.[6][3][28] It is fabricated with 0.18 micron CMOS process, has 8 KB of data cache, 8 KB of instruction cache and a 64-bit floating-point unit, capable of 200 double-precision MFLOPS.[43] Godson-1 series chips either use the GS132 or GS232 cores.[44]

Loongson X is a radiation hardened version of the GS232 core used in the Godson-1.[8]

Godson-2 / Loongson 2

Loongson 2F CPU from STMicroelectronics in a Gdium laptop

The Loongson 2 is a family of MIPS III compatible processors.[45][3] It adds 64-bit ability to the Loongson architecture.[6][28] Later Loongson 2 processors migrated to being MIPS64 compatible, due to sharing the GS464 core with the Loongson 3 series.[8]

The development plan for the Godson-2 was to develop it from a CPU to a SOC.[3][7] The 2E (2006) was a CPU, the 2F (2007) integrated the north bridge, the 2G (2008) had a hyper transport link between the CPU/north bridge and an integrated GPU/south bridge, and the 2H (2009) integrated all these functions into a SOC.[3][7] The design of the 2F was the basis of the GS464 core.[8] The 2G uses a single GS464 core;[7] the 2H uses the GS464V core, as a single-core version of the initial Godson 3B.[39]

Godson 3 / Loongson 3 MIPS processors

Loongson 3B1500E CPU
Lemote-A1310 mini-ITX motherboard (with Loongson 3B1500E)
Loongson 3A3000 CPU

The Loongson 3 family of processors are "...multi-core CPU[s] designed for high performance desktops, servers and clusters".[46][28] They were designed as the first Loongson processors that had multiple cores.[37] The processors were initially designed to use LoongISA - i.e. the MIPS64 ISA with additional extensions.[37] The designers also attempted to optimise x86 translation on the chip.[3]

1000 series

The first production processor was the Loongson 3A, which used 4 GS464 cores.[37][27]

The designers noted that they would produce a 3B chip with enhanced processing and vector capabilities, with 8 cores, and a 3C for server applications with up to 16 cores.[7][28] The 8-core Loongson 3B was noted to use the upgraded GS464V core, with extended vector capabilities.[39] This was followed by the Loonson 3C which used 16 GS464V cores.[39]

The 3B1000, and related 2I, both failed as processors due to design errors.[26] In May 2013 development of the 3C was suspended, in favour of developing the 3A2000 processor.[26]

1500 and 2000 series

In 2015, the 3A1500 and 3B2000 were released using the enhanced GS464E cores.[8] The improved microarchitecture core allowed better performance, reportedly 3 times as fast as the 3A1000, as well as introducing the LoongISA enhanced instruction set.[8] The 3A1500 was for embedded applications, whilst the 3B2000 was for servers and PCs.[8]

3000 series

In 2017, Loongson released the 3A3000. The performance of the 3A3000 is reported to be equivalent to the Intel J1900 processor (released in 2013).[41]

4000 series

In late-2019 the 3A and 3B 4000 series were released. They used the upgraded GS464EV microarchitecture.[41]

Loongson 3 LoongArch processors

5000 series, transition to LoongArch

In July 2021 the Loongson 3 5000 series was released.[30] The processor series was Loongson's first with their own developed instruction set architecture (ISA), called "LoongArch".[30] The processors announced included the 3A5000, a four-core desktop CPU, and the 3C5000L, a sixteen-core server CPU based on four 3A5000 in a single package.[33][29][35] Both CPUs were reported to be fabricated on a 12 nm process. Whilst the processor was noted to be using the GS464V cores initially, due to incompatibility with previous versions, the cores were renamed to LA464 in August 2021.[42]

The Register reported that "the 3A5000 is said to be 50 per cent faster and 30 per cent more power efficient" than the preceding 3A4000.[35] Phoronix reported that the 3A5000 CPU was "roughly on a par with the likes of the Intel Core i3 8109U / Core 2 Quad Q9500 / Core i5 750 (roughly the state of the art in 2008), or Armv8-based Phytium FT-2000".[30]

In April 2023, Loongson launched the 3D5000 processor for data centers and cloud computing, based on the LoongArch instruction set architecture.[47]

6000 series

In 2022 Loongson announced their 6000 series processors.[48] The company said that the updated processor architecture would use new "LA664" cores[48] and that the single-core performance would rival that of AMD's Zen 3 and Intel's Tiger Lake (11th-generation Core) architecture (launched in 2020).[48]

In November 2023 Loongson debuted the 3A6000 processor.[49] The processor is fabricated using a 14nm or 12nm process and supports the fairly old DDR4 standard for memory.[50] The Register reported that Loongson had demonstrated benchmarks suggesting that the 3A6000 processor was competitive with an Intel 10th-generation Core processor (launched in 2019).[49]

Supported software

Operating systems

The Loongson processors are mainly designed around using the Linux operating system.[51] Any operating system supporting the MIPS architecture should theoretically work. Windows CE was ported to a Loongson-based system with minimal effort.[52] In 2010, Lemote ported an Android distribution to the Loongson platform.[53]

Loongson machines are used in the package-building and CI infrastructure of Debian and Golang, respectively. This is partially because of Loongson's status as the only vendor producing application-grade MIPS CPUs for retail.[54]

As of February 2022, there are at least four Chinese Linux distributions that support LoongArch: Kylin, Loongnix, Deepin[55] and Unity Operating System. There are efforts to build LoongArch support into community versions of Linux.[42]

Compiler support

The GNU Compiler Collection (GCC) is the main compiler for software development on the Loongson platform.[56][57]

Before 2021 LLVM support was still inadequate due to missing workarounds for Loongson's CPU errata on MIPS.[58][42]

ICT also ported Open64 to the Loongson II platform.[59]

LoongArch is supported by the GCC, LLVM, Golang compilers, and supports the Java, JavaScript and .NET virtual machines.[60]

Loongson microprocessor specifications

Series Model Frequency
(MHz)
Architecture
MicroArchitecture Year Cores Process
(nm)
Transistor
(million)
Die Size
(mm²)
Power
(W)
Voltage
(V)
Cache (KiB) Peak Floating Point Performance
(GFLOPS)
Performance
int/fp [SPEC2000] (SPEC2006)
Remarks
L1(Single Core) L2 L3
Data instruction
Godson 1 266 MIPS-II 32-bit 2001 1 180 22 71.4 1.0 Un­known 8 8 0.6 [19/25] [61]
FCR_SOC 266 MIPS-II 32-bit 2007 1 180 Un­known Un­known Un­known Un­known 8 8 0.6 Un­known [62][63]
2B 250 MIPS-III 64-bit 2003 1 180 Un­known Un­known Un­known Un­known 32 32 Un­known [52/58]
2C 450 MIPS-III 64-bit 2004 1 180 13.5 41.5 Un­known Un­known 64 64 Un­known [159/114]
2E 1000 MIPS-III 64-bit GS464 (r1)(Prototype) 2006 1 90 47 36 7 1.2 64 64 512 Un­known [503/503]
Loongson 1 1A 300 MIPS32 GS232 2010 1 130 22 71.4 1.0 Un­known 16 16 0.6 Un­known [36]
1B 266 MIPS32 GS232 2010 1 130 13.3 28 0.6 Un­known 8 8 Un­known Un­known [36]
1C 300 MIPS32 GS232 2013 1 130 11.1 28.3 0.5 Un­known 16 16 Un­known Un­known [36]
1C101 8 MIPS32 GS132R 2018 1 130 Un­known Un­known Un­known Un­known Un­known Un­known [36]
1D 8 MIPS32 GS132 2014 1 130 1 6 3 × 10−5 Un­known Un­known Un­known [36]
Loongson 2 2F 1200 MIPS-III 64-bit GS464 (r1) 2007 1 90 51 43 5 1.2 64 64 512 3.2 Un­known [36]
2G 1000 MIPS64 GS464 (r2) 2012 1 65 Un­known Un­known Un­known 1.15 64 64 4096 Un­known Un­known [64]
2GP 800 MIPS64 GS464 (r2) 2013 1 65 82 65.7 8 1.15 64 64 1024 3.2 Un­known
2I
2H 1000 MIPS64 GS464 (r2) 2012 1 65 152 117 5 1.15 64 64 512 4 Un­known
2K1000 1000 MIPS64 Release 2 LoongISA 1.0 GS264E 2017 2 40 1900 79 5 1.1 32 32 256 × 2 1024 8 Un­known [36]
Loongson3 3A1000 1000 MIPS64 Release 2

LoongISA 1.0

GS464 (r2) 2009 4 65 425 174.5 10 1.15 64 64 256 × 4 16 [568/788], (2.4/2.3) [36]
3B1000 1000 MIPS64 Release2

LoongISA 1.0

GS464 (r2) 2010 4+4 65 > 600 Un­known 20 1.15 64 64 128 × 8 Un­known Un­known [65]
3B1500 1200–1500 MIPS64 Release 2

LoongISA 1.0

GS464V 2012 4+4 32 1140 142.5 30(typical)
60(vector)
1.15–1.35 64 64 128 × 8 8192 150 Un­known [36][66]
3A1500-I 800–1000 MIPS64 Release2

LoongISA 1.0

GS464E 2015 4 40 621 202.3 15 1.15–1.25 64 64 256 × 4 4096 16 (6/??) [36]
3A2000
3B2000
3A3000 1500 MIPS64 Release 2

LoongISA 1.0

GS464E 2016 4 28 > 1200 155.78 30 1.15–1.25 64 64 256 × 4 8192 24 [1100/1700], (11/10)@Single (36/33)@Rate [36]
3B3000 GS464E
3A4000 1800-2000 MIPS64 Release 5

LoongISA 2.0

GS464EV(GS464v) 2019 4 28 ? ? <30 [email protected] GHz

<40 [email protected] GHz

<50 [email protected] GHz[36]

0.95-1.25 64 64 256 x 4 8192 128 (21.1/21.2)@Single (61.7/58.1)@Rate
3B4000
3A5000 3B5000 2300-2500 LoongArch GS464V 2021 4 12 / 14 35w @ 2.5 GHz 64 64 256x4 16384 160 (26.6*/??)@Single, (80*/??)@Rate *SpecInt Base point [36]
3C5000L 2200 LoongArch GS464V 2021 16 12 / 14 150w @ 2.2 GHz 64 64 256x16 16384x4 560 Unknown [36]
3C5000L-LL 2000 LoongArch GS464V 2021 16 12 / 14 125w @ 2.0 GHz 64 64 256x16 16384x4 512 Unknown [citation needed]
3A6000 2000-2500 LoongArch LA664 2023 4 12-14 38w @ 2.5 GHz [49]

Loongson-based systems

Lemote FuLoong and YeeLoong with a Loongson 2F microprocessor
Lemote's Fulong MiniPC on top of a CD-ROM drive as reference

In 2012 it was reported that Loongson processors had found itself into very few computing systems.[6] The processors are mainly used in Chinese computers; in 2021 it was reported that Loongson supplies CPUs for most desktop computers procured by the Chinese government, and 80% of the Chinese government's servers.[12] The release of the 3A3000 processor in 2015 was noted as turning point for the company's fortunes.[12] In 2017 it was noted that the company's processors were being used in the Beidou satellite.[12][8]

Personal computers

In March 2006, a 100 Loongson II computer design called Longmeng (Dragon Dream) was announced by Lemote.[citation needed]

In June 2006 at Computex'2006, YellowSheepRiver announced the Municator YSR-639,[67] a small form factor computer based on the 400 MHz Loongson 2.

As of November 2008 the new 8.9" netbook from the Chinese manufacturer Lemote that replaced mengloong, Yeeloong (Portable Dragon),[68] running Debian, is available[69] in Europe from the Dutch company Tekmote Electronics.

In January 2010, Jiangsu province planned to buy 1.5 million Loongson PCs.[70]

In September 2011, Lemote announced the Yeeloong-8133 13.3" laptop featuring 900 MHz, quad-core Loongson-3A/2GQ CPU.[71]

Supercomputers

On 26 December 2007, China revealed its first Loongson based supercomputer in Hefei. The KD-50-I has a reported peak performance of 1 TFLOPS, and about 350 GFLOPS measured by LINPACK.[72] This supercomputer was designed by a joint team led by Chen Guoliang at the computer science technology department of the University of Science and Technology of China (USTC) and ICT (the secondary contractor). KD-50-I is the first Chinese built supercomputer to utilize domestic Chinese CPUs, with a total of more than 336 Loongson-2F CPUs, and nodes interconnected by ethernet. The size of the computer was roughly equivalent to a household refrigerator and the cost was less than RMB800,000 (approximately US$120,000, 80,000).[73]

In 2012 it was reported that Loongson processors were to be found in the Sunway BlueLight MPP and Dawning 6000 supercomputers.[6]

See also

Notes

  1. ^ The Godson/Loongson 2 series processors have been developed and named mostly sequentially in an alphabetical format.
  2. ^ The Godson/Loongson 3 series processors seem to be named according to segment and then a generation number. The segment tends to be A (general purpose PCs), B (seemingly high core counts) and C (server processors). The generation numbers start at 1000 for the first series processors, and then increment generally to the next thousand. There are some processors that may be between generation products, that have a x5000 designation.

References

  1. ^ "龙芯处理器英文品牌定名Loongson(组图)_业界_科技时代_新浪网". tech.sina.com.cn.
  2. ^ a b Hu, Wei-Wu; Zhang, Fu-Xin; Li, Zu-Song (March 2005). "Microarchitecture of the Godson-2 Processor". Journal of Computer Science and Technology. 20 (2): 243–249. doi:10.1007/s11390-005-0243-6. S2CID 27672171.
  3. ^ a b c d e f Hu, Weiwu (August 2008). "Micro-architecture of Godson-3 multi-core processor". 2008 IEEE Hot Chips 20 Symposium (HCS). pp. 1–31. doi:10.1109/HOTCHIPS.2008.7476554. ISBN 978-1-4673-8871-9. S2CID 38427069. Retrieved 2 August 2021.
  4. ^ Wu, Yimian (23 May 2018). "China Supports Local Semiconductor Firms By Adding Them To Government Procurement List". China Money Network. Retrieved 31 May 2018.
  5. ^ a b c d e f Houweling, Elles (29 June 2021). "China-backed Loongson chip manufacturer files for IPO". Verdict. Retrieved 2 August 2021.
  6. ^ a b c d e f g Muncaster, Phil (19 December 2012). "Why China's Homemade Microchips Will Struggle to Displace Western Giants". MIT Technology Review. Retrieved 2 August 2021.
  7. ^ a b c d e f Hu, Wei-Wu; Gao, Yan-Ping; Chen, Tian-Shi; Xiao, Jun-Hua (May 2011). "The Godson Processors: Its Research, Development, and Contributions" (PDF). Journal of Computer Science and Technology. 26 (3): 363–372. doi:10.1007/s11390-011-1139-2. S2CID 9771321. Retrieved 2 August 2021.
  8. ^ a b c d e f g h i j k l m Hu, Weiwu; Zhang, Yifu; Fu, Jie (January 2016). "An introduction to CPU and DSP design in China" (PDF). Science China Information Sciences. 59 (1): 1–8. doi:10.1007/s11432-015-5431-6. S2CID 15708234. Retrieved 12 August 2021.
  9. ^ Yiu, Enoch (29 June 2021). "Loongson files US$542 million IPO in Shanghai to fund chip growth". South China Morning Post. Retrieved 26 August 2021.
  10. ^ Ye, Josh (18 March 2020). "Meet the Chinese operating system that's trying to shift the country off Windows". South China Morning Post. Retrieved 26 August 2021.
  11. ^ a b YINGZHE, GUO (30 June 2021). "Chinese CPU designer Loongson looks for $500m in STAR IPO". Nikkei Asia. Caixin. Retrieved 26 August 2021.
  12. ^ a b c d "The Loongson IPO brings out the three major technical camps of the six major Chinese core families, and how far is it to compete with the United States". iNews. 2 August 2021. Retrieved 2 August 2021.
  13. ^ a b Sharwood, Simon. "Chinese schools testing 10,000 locally made RISC-V-ish PCs". www.theregister.com. Retrieved 7 April 2024.
  14. ^ 启航新征程的. "先行先试 | 近万台龙芯3A5000电脑走进鹤壁中小学课堂". Weixin Official Accounts Platform. Retrieved 7 April 2024.
  15. ^ Mayersen, Isaiah (4 March 2023). "US blacklists China's Loongson as its CPUs reach maturity". TechSpot. Retrieved 5 March 2023.
  16. ^ Shilov, Anton (3 March 2023). "U.S. Govt Blacklists Chinese Tech Firms Loongson and Inspur". Tom's Hardware. Retrieved 5 March 2023.
  17. ^ China's Microprocessor Dilemma(subscription required)
  18. ^ "The Linley Group - China's Microprocessor Dilemma".
  19. ^ MIPS Technologies Licenses MIPS64 Architecture to STMicroelectronics Archived 19 January 2013 at the Wayback Machine
  20. ^ "Newsroom - STMicroelectronics". Archived from the original on 1 December 2008.
  21. ^ Godson-3 Emulates x86
  22. ^ China's Institute of Computing Technology Licenses Industry-Standard MIPS Architectures Archived 7 July 2012 at the Wayback Machine
  23. ^ Loongson Licenses MIPS32® and MIPS64® Architectures for Embedded and Computing Applications Archived 4 July 2013 at the Wayback Machine
  24. ^ "Loongson Licenses MIPS32(R) and MIPS64(R) Architectures for Embedded and Computing Applications". www.bloomberg.com. Archived from the original on 12 August 2014.
  25. ^ Connatser, Matthew (19 January 2024). "Chinese chipmaker Loongson wins case over rights to MIPS architecture - company's new CPU architecture heavily resembles existing MIPS". Tom's Hardware. Archived from the original on 19 January 2024. Retrieved 19 January 2024.
  26. ^ a b c "Core Journey - 20 years of technical failure, is there still a chance for China's CPU?". ElectroDealPro. 14 June 2021. Retrieved 11 August 2021.
  27. ^ a b Hu, Weiwu; Wang, Jian; Gao, Xiang; Chen, Yunji; Liu, Qi; Li, Guojie (March 2009). "Godson-3: A Scalable Multicore RISC Processor with x86 Emulation". IEEE Micro. 29 (2): 17–29. doi:10.1109/MM.2009.30. S2CID 726798. Retrieved 29 July 2021.
  28. ^ a b c d e f g Morgan, Timothy Prickett (25 February 2011). "Godson: China shuns US silicon with faux x86 superchip". www.theregister.com. Retrieved 4 August 2021.
  29. ^ a b Tang, Yvonne (23 July 2021). "Loongson launches 3A5000, first processor to use its own LoongArch architecture". CnTechPost. Retrieved 27 July 2021.
  30. ^ a b c d "First benchmarks of China's Loongson 3A5000 CPU surface". HEXUS. 26 July 2021. Retrieved 27 July 2021.
  31. ^ Chen, Huacai. "arch: Add basic LoongArch support [LWN.net]". lwn.net. Retrieved 28 July 2021.
  32. ^ AUFRANC, JEAN-LUC (17 April 2021). "Loongson unveils LoongArch CPU instruction set architecture for processors made in China - CNX Software". CNX Software - Embedded Systems News. Retrieved 28 July 2021.
  33. ^ a b April 2021, Anton Shilov 16 (16 April 2021). "Loongson Technology Develops Its Own CPU Instruction Set Architecture". Tom's Hardware. Retrieved 28 July 2021.{{cite news}}: CS1 maint: numeric names: authors list (link)
  34. ^ Conill, Ariadne. "Real World Technologies - Forums - Thread: LoongArch". Retrieved 28 July 2021.
  35. ^ a b c Shah, Agam (2 November 2021). "Loongson chip is China's next step to semiconductor freedom". www.theregister.com. Retrieved 1 December 2021.
  36. ^ a b c d e f g h i j k l m n o "IP核_龙芯官方网站-[龙芯官方网站]". www.loongson.cn.
  37. ^ a b c d e Gao, Xiang; Chen, Yun-Ji; Wang, Huan-Dong; Tang, Dan; Hu, Wei-Wu (March 2010). "System Architecture of Godson-3 Multi-Core Processors". Journal of Computer Science and Technology. 25 (2): 181–191. doi:10.1007/s11390-010-9315-3. S2CID 6432719.
  38. ^ Fan, Bao-Xia; Yang, Liang; Wang, Jiang-Mei; Wang, Ru; Xiao, Bin; Xu, Ying; Liu, Dong; Zhao, Ji-Ye (March 2010). "Physical Implementation of the 1GHz Godson-3 Quad-Core Microprocessor" (PDF). Journal of Computer Science and Technology. 25 (2): 192–199. doi:10.1007/s11390-010-9316-2. S2CID 357819.
  39. ^ a b c d Weiwu Hu; Yunji Chen. GS464V: A High-performance Low-Power Processor Core with 512-bit Vector Extension (PDF). Archived from the original (PDF) on 1 November 2020.
  40. ^ a b Wang, HuanDong; Wang, WenXiang; Wu, RuiYang; Hu, WeiWu (1 April 2015). "Design of Loongson GS464E processor architecture". Scientia Sinica Informationis. 45 (4): 480–500. doi:10.1360/N112014-00292.
  41. ^ a b c "你不曾见过的国产CPU:可能是最全的龙芯系列芯片家谱(下)". www.ijiwei.com (in Chinese). 1 June 2019. Retrieved 12 August 2021.
  42. ^ a b c d e WÁNG, Xuěruì "xen0n" (3 March 2022). "The unofficial yet comprehensive FAQ for LoongArch (last updated 2022-03-06)". write(2). Archived from the original on 6 December 2022. Retrieved 29 March 2022.{{cite web}}: CS1 maint: numeric names: authors list (link)
  43. ^ cpu-museum.de Forum: Godson-1 and 2 Microprocessor Chips, 2005-Apr-20 [dead link]
  44. ^ zevanzhao (1 June 2019). "你不曾见过的国产CPU:可能是最全的龙芯系列芯片家谱(上) - IT之家". www.ithome.com (in Chinese). Retrieved 12 August 2021.
  45. ^ Shuchang, Zhou (2009). "Open64 on MIPS: porting and enhancing Open64 for Loongson II" (PDF). Retrieved 29 July 2021. {{cite journal}}: Cite journal requires |journal= (help)
  46. ^ Kun, Ling (2012). "Loongcc-A Compiler based on Open64 for MIPS64 Compatible Loongson 3 Architecture" (PDF). Retrieved 29 July 2021. {{cite journal}}: Cite journal requires |journal= (help)
  47. ^ Zhiye Liu (8 April 2023). "China's Loongson Unveils 32-Core CPU, Reportedly 4X Faster Than Arm Chip". Tom's Hardware. Retrieved 3 May 2023.
  48. ^ a b c Mujtaba, Hassan (31 August 2022). "Chinese-Built Loongson 3A6000 CPUs Achieve 68% Faster Single-Core Performance, Will Be Able To Rival Zen 3 & Tiger Lake". Wccftech.
  49. ^ a b c Sharwood, Simon (30 November 2023). "China's Loongson debuts new CPU that 'matches Intel in 2020'". www.theregister.com. Retrieved 30 November 2023.
  50. ^ Mark Tyson (28 November 2023). "New Chinese Loongson chip matches Intel's 14600K in IPC tests, overclocked to 3 GHz with liquid nitrogen". Tom's Hardware. Retrieved 2 April 2024.
  51. ^ "linux-loongson/community (社区版龙芯Linux)". dev.lemote.com. Archived from the original on 25 July 2014.
  52. ^ 福瓏電腦WinCE 5.0 圖片 Archived 27 September 2007 at the Wayback Machine
  53. ^ Fletcher, Owen (3 March 2010). "Company puts Android on laptop with China-backed chips". Computerworld.
  54. ^ "Build log for linux-2.6 (2.6.35~rc6-1~experimental.1) on mipsel". buildd.debian.org.
  55. ^ "Deepin is Complete Because of You | DDUC 10th Anniversary Successfully Hosted and Witnessed by Over 200,000 Participants – Deepin Technology Community". Retrieved 3 May 2023.
  56. ^ "Maxim Kuvyrkov - [MIPS][LS2][4/5] Scheduling and tuning". gcc.gnu.org.
  57. ^ "GCC 4.6 Release Series Changes, New Features, and Fixes". Free Software Foundation (FSF). 25 March 2011.
  58. ^ "49611 – Need multiple errata workaround options for loongson". bugs.llvm.org.
  59. ^ Open64 on MIPS: porting and enhancing Open64 for Loongson II
  60. ^ Jean-Luc Aufranc (24 July 2021). "Loongson's first LoongArch processors – 3A5000 for computers, 3C5000L for servers". cnx-software.com. Retrieved 14 February 2023.
  61. ^ HU Wei-Wu (2003). "Architecture of the Godson-1 Processor". Chinese Journal of Computers (4). TANG Zhi-Min.
  62. ^ 陈杰 (2007). "一种集成"龙芯1号"IP核的SoC的体系结构" (PDF). 计算机工程与应用 (19). 章军.
  63. ^ "成功研制以龙芯为内核的税控SOC芯片 苏州中科集成电路设计中心". szicc.com.cn. Retrieved 8 May 2019.
  64. ^ "龙芯2G用户手册" (PDF). Archived from the original (PDF) on 29 November 2020. Retrieved 19 August 2019.
  65. ^ "龙芯3B1000用户手册" (PDF).
  66. ^ "Bonito 3c780e Start.S ([email protected])".
  67. ^ "LinuxDevices article about the Municator". Archived from the original on 23 April 2006.
  68. ^ "Yeelong Specs". Archived from the original on 23 October 2008. (LinuxDevices, 22 Octobjer 2008)
  69. ^ Yeelong 8.9" netbook at tekmote.nl for 335.50€
  70. ^ "Soon Loongson in 1.5 million computers".
  71. ^ "Lemote Announced Yeeloong-8133 Laptop". Archived from the original on 15 September 2011.
  72. ^ KD-50-I Home page Archived 29 October 2008 at the Wayback Machine
  73. ^ "基于龙芯2号国产万亿次高性能计算机KD-50-I简介 - 硬件". cnBeta.COM.

External links

This page was last edited on 15 April 2024, at 07:40
Basis of this page is in Wikipedia. Text is available under the CC BY-SA 3.0 Unported License. Non-text media are available under their specified licenses. Wikipedia® is a registered trademark of the Wikimedia Foundation, Inc. WIKI 2 is an independent company and has no affiliation with Wikimedia Foundation.